MNC 2012 (25th International Microprocesses and Nanotechnology Conference)
Kobe Meriken Par Oriental Hotel, Japan
October 30-November 2, 2012
Nanoimprint関連セッション
Wednesday, October 31
31A-1: Plenary Session
9:30-9:50
Opening Remark: T. Itani (EIDEC)
Award Presentation: Y. Ono (Univ. of Toyama) and T. Itani (EIDEC)
MNC 2011 Outstanding Paper, Most Impressive Presentation, Most Impressive Poster and Young Author’s Award
Announcement from Committee: J. Fujita (Univ. of Tsukuba)
31A-1-1 9:50
Cyber Physical System (Tentative)(Plenary)
M. Kitsuregawa, Univ. of Tokyo, Japan
Robby (4F in front of Zuiten)
Coffee Break
31A-1-2 10:50
EUV Lithography : Getting Ready for Insertion ? (Plenary)
K. Ronse, IMEC, Belgium
31A-1-3 11:30
(Plenary)
P.F. Nealey, Univ. of Wisconsin, USA
LUNCH
Room A (Zuiten Nishi 4F) Room B (Zuiten Naka 4F) Room C (Zuiten Higashi 4F) Room D (Tenku 5F)
31A-2:Symp. B: Nanoimprint I 31B-2: Nanostructure Engineered Devices 31C-2:Nanomaterials I 31D-2:Graphene I
Chairpersons: Chairpersons: Chairpersons: Chairpersons:
A. Yokoo (NTT) S. Kasai (Hokkaido Univ.) T. Chikyow (NIMS) K. Maehashi (Osaka Univ.)
H.Y. Low (Inst. of Materials Res. and Eng.) T. Maemoto (Osaka Inst. of Technol.) Y. Matsumoto (Tokyo Inst. of Technol.) S. Okada (Univ. of Tsukuba)
31A-2-1 31B-2-1 31C-2-1 31D-2-1
13:30 13:30 13:30 13:30
Progress in NaPANIL Project (Invited) 1.3-μm Quantum Dot DFB Laser with Half-etching Mesa Structure 2D Oxide Nanosheets: Future High-k Dielectrics from Nano Building Blocks (Invited) Graphene for Future Electronics (Invited)
J. Ahopelto, VTT Technical Res. Centre, Finland K. Goshima 1, N. Tsuda 1, J. Yamada 1, T. Sugaya 2, K. Komori 2 and T. Amano 2, 1 Aichi Inst. of Technol. and 2 AIST, Japan M. Osada 1,2 and T. Sasaki 1,2, 1 NIMS and 2 JST-CREST, Japan J.-H. Ahn, Sungkyunkwan Univ., Korea
31A-2-2 31B-2-2 31C-2-2 31D-2-2
14:00 13:50 14:00 14:00
Nano-imprint based patterning process for high brightness LEDs (Invited) Characteristics of Light Emitting Diodes with a Nanomesh Metal Electrode Magnetoresistance Effects in Current-Perpendicular-to-Plane Structures based on Fe3Si/FeSi2 Artificial Lattices Optimization of Gate Insulating Materials on Graphene for Future CMOS Channels by Raman and Photoelectron Spectroscopy
J. Cho, K. Byeon and H. Lee, Korea Univ., Korea
R. Kitagawa, K. Nakamura, K. Masunaga, E. Tsutsumi, T. Nakanishi, A. Fujimoto and K. Asakawa, Toshiba, Japan K. Sakai 1, Y. Noda 2, D. Tsumagari 2, T. Sonoda 2, S. Hirakawa 2, K. Takeda 3 and T. Yoshitake 2, 1 Kurume Natl. Coll. of Technol., 2 Kyushu Univ. and 3 Fukuoka Inst. of Technol., Japan D. Kondo 1,2, K. Hayashi 1,2, J. Yamaguchi 1,2, I. Soga 2, S. Sato 1,2 and N. Yokoyama 1,2, 1 AIST and 2 Fujitsu Labs., Japan
31A-2-3 31B-2-3 31C-2-3 31D-2-3
14:30 14:10 14:20 14:20
Development of Micro/Nano Imprint with Bulk Metallic Glasses (Invited) Novel Delivery Process of High Dense Gold Nanoparticles on Si Substrate to Introduce Plasmon Absorbance Growth of Atomically Thin Hexagonal Boron Nitride Films from Solid Sources Edge-orientation of Graphene Corner: First-principles Calculations
F.-Y. Chang and J. P. Chu, Natl. Taiwan Univ. of Sci. and Technol., Taiwan S. Saijo 1,2, B. Zheng 1,2, I. Yamashita 1,2 ,Y. Uraoka 1,2 and Y. Ishikawa 1,2, 1 Nara Inst. of Sci. and Technol. and 2 JST-CREST, Japan S. Suzuki, R.M. Pallares and H. Hibino, NTT, Japan N.T. Cuong 1,3, M. Otani 1,3, and S. Okada 2,3, 1 AIST, 2 Univ. of Tsukuba and 3 JST-CREST, Japan
31A-2 Author's Interview: 16:45-16:55 31B-2-4 31C-2-4 31D-2-4
14:30 14:40 14:40
Crucial Role of Ambient Pressure on Thermal Shrinking of Au Nanodot Array Production of Graphene Films by Simple Electrochemical Exfoliation using NaCl Solution Synthesis of Graphene Nanoribbons from Amyloid Template on Sapphire Substrate by Liquid Gallium Graphitization
G. Meng 1, T. Yanagida 1, K. Nagashima 1, M. Kanai 1, M. Suzuki 2, A. Klamchuen 1, B. Xu 1, F.W. Zhuge 1, S. Rahong 1, Y. He 1 and T. Kawai 1, 1 Osaka Univ. and 2 Kyushu Univ., Japan E. Kano 1, R. Ueki 1, T. Takahashi 1, S. Akiyama 1, J. Tang 1,2, K. Murakami 1 and J. Fujita 1, 1 Univ. of Tsukuba and 2 NIMS, Japan R. Ueki 1,2, T. Dong 1,2, Y. Kajiwara 1,2, E. Takai 2, Y. Shikiya 2, K. Shiraki 2, Y. Yamada 2, K. Murakami 1,2 and J. Fujita 1,2, 1 TIMS and 2 Univ. of Tsukuba, Japan
31C-2-5 31D-2-5
15:00 15:00
Selective-area Metal-organic Vapor Phase Epitaxy of AlGaAs Nanostructures on Crystallized Insulating Al2O3 Layers Direct Graphene Growth with Multi Metal Layers without using Chemical Vapor Deposition
S. Sakita, M. Yatago and S. Hara, Hokkaido Univ., Japan K. Gumi, Y. Ohno, K. Maehashi, K. Inoue and K. Matsumoto, Osaka Univ., Japan
31B-2 Author's Interview: 16:55-17:05 31C-2 Author's Interview: 16:55-17:05 31D-2 Author's Interview: 16:55-17:05
Robby (4F in front of Zuiten)
Coffee Break
Room A (Zuiten Nishi 4F) Room B (Zuiten Naka 4F) Room C (Zuiten Higashi 4F) Room D (Tenku 5F)
31A-3: Symp. B: Nanoimprint II 31B-3:Nanoscale Memory 31C-3:Nanomaterials II 31D-3:Nano Carbons I
Chairpersons: Chairpersons: Chairpersons: Chairpersons:
S. Matsui (Univ. of Hyogo) T. Yanagida (Osaka Univ.) K. Terabe (NIMS) S. Chiashi (Univ. of Tokyo)
J. Ahopelto (VTT Technical Res. Centre) Y. Ishikawa (Nara Inst. of Sci. and Technol.) T. Chikyow (NIMS) M. Tanemura (Nagoya Inst. of Technol.)
31A-3-1 31B-3-1 31C-3-1 31D-3-1
15:15 15:35 15:35 15:35
Progress of Jet and Flash Imprint Lithography for Volume Manufacturing (Invited) Gate Structure Dependence of Variability in Poly-Si FinFET Flash Memories Surrounding Effects on Nanoscale Memristive Switching Behaviors Growth Mechanism of Horizontally Aligned Single-walled Carbon Nanotubes on R-cut Crystal Quartz Substrates
H. Wada, Molecular Imprints Inc, USA Y.X. Liu 1, T. Kamei 2, T. Matsukawa 1, K. Endo 1, S. O'uchi 1, J. Tsukada 1, H. Yamauchi 1, Y. Ishikawa 1, T. Hayashida 2, K. Sakamoto 1, A. Ogura 2 and M. Masahara 1, 1 AIST and 2 Meiji Univ., Japan K. Nagashima 1, T. Yanagida 1, M. Kanai 1, K. Oka 1, B.H. Park 2, T. Kawai 1,2, 1 Osaka Univ., Japan and 2 Konkuk Univ., Korea S. Chiashi, T. Inoue, D. Hasegawa, S. Badar and S. Maruyama, Univ. of Tokyo, Japan
31A-3-2 31B-3-2 31C-3-2 31D-3-2
15:45 15:55 15:55 15:55
Repairable Nanoimprinted Structures (Invited) Structural Analysis of TiO2/Ti Film Resistive Switched by AFM Probes Observation of Light induced Pyroelectric Transient in Single Sb2Se3 Nanowires Polarization Dependence of G Band of Single-walled Carbon Nanotubes oriented on Quartz Single Crystal Surfaces
H.Y. Low, Inst. of Materials Res. and Eng., Singapore H. Nakano 1, M. Takahashi 1, M. Sato 1, M. Kotsugi 2, T. Ohkochi 2, T. Muro 2, M. Nihei 1 and N. Yokoyama 1, 1 AIST and 2 JASRI, Japan T.Y. Ko and K.W. Sun, Natl. Chiao Tung Univ., Taiwan I. Shimoyama 1,2, X. Li 2 and T. Shimada 2,3, 1 JAEA, Japan, 2 Stanford Univ., USA and 3 Nagoya Univ., Japan
31A-3-3 31B-3-3 31C-3-3 31D-3-3
16:15 16:15 16:15 16:15
Applying Directed Self-assembling and Nanoimprint lithography for Fabrication of Bit Patterned Media (Invited) Confined Resistive Switching of TiO2 Dielectrics Resistive Random Access Memory with a Nanopore in the TiO2 Film created by Focus Ion Beam Preparation and Characteristic of Relative Humidity Sensors based on Laterally Grown ZnO Nanowires using Hydrothermal Method Multiple Investigation of Charge Transfer between Single-walled Carbon Nanotubes and N-type Dopants
N. Kihara, Y. Ootera, R. Yamamoto, N. Sasao, T. Shimada, H. Hieda, T. Okino, Y. Kamata, and A. Kikitsu, Toshiba, Japan C.-H. Wu 1, C.-J. Hsieh 2 and S.-J. Wang 2, 1 Chung Hua Univ. and 2 Natl. Cheng Kung Univ., Taiwan F.-S. Tsai, S.-J. Wang, Y.-C. Tu, T.-H. Lin and J.-C. Lin, Natl. Cheng Kung Univ., Taiwan R. Shimizu 1, S. Matsuzaki 1, K. Yanagi 2 and T. Takenobu 1, 1 Waseda Univ. and 2 Tokyo Metropolitan Univ., Japan
31A-3 Author's Interview: 16:45-16:55 31B-3-4 31C-3-4 31D-3-4
16:35 16:35 16:35
Magnetite Bio-nanoparticle for Nano Resistive Memory First-principles Simulation on Polymorph Dependence of Piezoresistivity in Silicon Carbide Nanowires Wave Packet Dynamics Simulations on Electronic Transport in Nano-carbon Materials
K. Kado 1,2, T. Ban 1,2, M. Uenuma 1,2, Y. Kakihara 1,2, B. Zheng 1,2, M. Horita 1,2, Y. Ishikawa 1,2, I. Yamashita 1,2 and Y. Uraoka 1,2, 1 Nara Inst. of Sci. and Technol. and 2 JST-CREST, Japan K. Nakamura 1,2, 1 Kyoto Univ., Japan and 2 Egypt-Japan Univ. of Sci. and Technol., Egypt Y. Takada, K. Takashima and T. Yamamoto, Tokyo Univ. of Sci., Japan
31B-3 Author's Interview: 16:55-17:05 31C-3 Author's Interview: 16:55-17:05 31D-3 Author's Interview: 16:55-17:05
Robby (4F in front of Zuiten)
17:10-18:40 Happy Hour
Thursday, November 1
Room A (Zuiten Nishi 4F) Room B (Zuiten Naka 4F) Room C (Zuiten Higashi 4F) Room D (Tenku 5F)
1A-4:Symp. A: Extreme Ultraviolet Lithography and Lithography Extensions I 1B-4:BioMEMS, Lab on a Chip I 1C-4:Nanoimprint, Nanoprint and Rising Lithography I 1D-4: Graphene II
Chairpersons: Chairpersons: Chairpersons: Chairpersons:
T. Sato (Toshiba) K. Furukawa (NTT) H. Hiroshima (AIST) D. Kondo (Fujitsu Labs.)
J. Miyazaki (ASML) K. Tawa (AIST) M. Nagase (Univ. of Tokushima)
1A-4-1 1B-4-1 1C-4-1 1D-4-1
9:00 9:00 9:00 9:00
Recent Activities on EUVL in NewSUBARU (Invited) Evaluation of Electrophoretic Mobility of Individual Exosomes by Microcapillary Electrophoresis with Laser Dark-field Microscopy (Invited) Electrical Resistivity Measurements of Layer Number Determined Multi-layer Graphene for Future LSI Interconnects
H. Kinoshita 1,2 T. Watanabe 1,2 and T. Harada 1,2, 1 Univ. of Hyogo and 2 JST-CREST, Japan K. Kato 1, M. Kobayashi 1, T. Akagi 1, N. Kosaka 2, T. Ochiya 2 and T. Ichiki 1, 1 Univ. of Tokyo and 2 Natl. Cancer Center Research Inst., Japan J. Guo, Univ. of Micigan, USA K. Ito 1, M. Katagiri 2, T. Sakai 2 and Y. Awano 1, 1 Keio Univ. and 2 LEAP, Japan
1A-4-2 1B-4-2 1C-4-2 1D-4-2
9:30 9:20 9:30 9:20
Current Progress of Advanced EUVL Development in EIDEC (Invited) Tempospatial External Field Potential Fluctuation Measurement in Constructive Cardiomyocyte Network for in vitro Predictive Cardiotoxicity Novel Organic Radiation Detector with Nanoimprinted Structure Multiple Exciton Generation in Graphene Nanoribbon
S. Inoue, H. Watanabe, T. Itani, and I. Mori, EIDEC, Japan T. Hamada, F. Nomura, T. Kaneko, K. Yasuda, Tokyo Medical and Dental Univ., Japan K. Tada 1, E. Takada 1, K. Tomohiro 2, K. Fujii 1, Y. Masuyama 1 and Y. Hirai 2, 1 Toyama Natl. Coll. of Technol. and 2 Osaka Pref. Univ., Japan S. Konabe and S. Okada, Univ. of Tsukuba, Japan
1A-4-3 1B-4-3 1C-4-3 1D-4-3
10:00 9:40 9:50 9:40
(Invited) Visualizing a Transient Molecular Encounter leading to Single DNA Digestion in Fluid Enhanced Light Extraction Efficiency of W-OLED using UV-nanoimprinted AR Structure Glass Effects of Internal Strain induced by Low-energy Electron Beam Irradiation on Graphene
TSMC, Taiwan D. Onoshima 1, N. Kaji 1, M. Tokeshi 1,2 and Y. Baba 1,3, 1 Nagoya Univ., 2 Hokkaido Univ. and 3 AIST, Japan K.-Y. Cheng 1, C.-K. Huang 2, M.-Y. Chang 2, H.-Y. Lin 1 and T.-H. Chou 1, 1 ITRI and 2 Natl. Sun Yat-Sen Univ., Taiwan T. Kadowaki 1,2, K. Murakami 1,2 and J. Fujita 1,2, 1 TIMS and 2 Univ. of Tsukuba, Japan
1A-4 Author's Interview: 12:15-12:25 1B-4-4 1C-4-4 1D-4-4
10:00 10:10 10:00
Fabrication of Fine mRNA Patterns using Microintaglio Printing Method Study of the Resistance of Antisticking Layer on Repeated UV Nanoimprint In situ Observation of Graphene during Gate Oxide Formation using Raman Spectroscopy
R. Kobayashi 1, Y. Tanaka 1, S. Ueno 1,2, M. Biyani 1,2 and T. Ichiki 1,2, 1 Univ. of Tokyo and 2 JST-CREST, Japan S. Iyoshi 1,5, M. Okada 1,5,6, K. Kobayashi 3,5, S. Kaneko 3,5, T. Katase 2, K. Tone 2, Y. Haruyama 1,5, M. Nakagawa 3,5, H. Hiroshima 4,5 and S. Matsui 1,5, 1 Univ. of Hyogo, 2 Meisyo Kiko, 3 Tohoku Univ., 4 AIST, 5 JST-CREST and 6 JSPS, Japan R. Sato, H. Fukidome and M. Suemitsu, Tohoku Univ., Japan
1B-4-5 1C-4-5 1D-4 Author's Interview: 11:55-12:05
10:20 10:30
Oxide Nanowires DNA Chip for Long DNA Molecules Manipulation Study of Organic Thin Film Transistors on UV-curable Dielectrics with Periodic Patterns fabricated by Nano Imprint Technology
S. Rahong 1, T. Yanagida 1,2, M. Kanai 1, A. Klamchuen 1, M. Gang 1, K. Nagashima 1, T. Yasui 3, N. Kaji 3, Y. Baba 3,4 and T. Kawai 1, 1 Osaka Univ., 2 JST-PRESTO, 3 Nagoya Univ. and 4 AIST, Japan H.J.H. Chen 1, J.-Y. Chen 1, S.-Z. Chen 2 and J.-C. Huang 2, 1 Natl. Chi Nan Univ. and 2 Natl. Tsing Hua Univ., Taiwan
1B-4 Author's Interview: 10:40-10:50 1C-4 Author's Interview: 12:25-12:35
Robby (4F in front of Zuiten)
Coffee Break
Room A (Zuiten Nishi 4F) Room B (Zuiten Naka 4F) Room C (Zuiten Higashi 4F) Room D (Tenku 5F)
1A-5:Symp. A: Extreme Ultraviolet Lithography and Lithography Extensions II 1B-5: 11:05-12:35 Material & Process for MEMS I 1C-5: Nanoimprint, Nanoprint and Rising Lithography II 1D-5: 10:35-11:55 Carbon Nanotuber II
Chairpersons: Chairpersons: Chairpersons: Chairpersons:
S. Nagahara (Tokyo Electron) H. Takao (Kagawa Univ.) J. Taniguchi (Tokyo Univ. of Sci.) S. Akita (Osaka Pref. Univ.)
K. Ogino (Fujitsu Semiconductor) T. Ando (Ritsumeikan Univ.) A. Yokoo (NTT) S. Chiashi (Univ. of Tokyo)
1A-5-1 1B-5-1 1C-5-1 1D-5-1
10:45 11:05 11:05 10:35
High CE Technology EUV Source for HVM (Invited) Experimental Nano Mechanics for Silicon & Carbon Nanomaterials Using MEMS Technology (Invited) Solvent Assisted Nanoimprint Lithography as Block Copolymers Directed Selfassembly Tool Carbon Nanotube Solar Cell utilizing Semiconducting Carbon Nanotube as Exciton Generators
H. Mizoguchi and T. Saitou, Gigaphoton, Japan Y. Isono, Kobe Univ., Japan C. Simao 1, N. Kehagias 1, D. Borah 2,3, B. Kosmala 2,3, M. Salaun 4, M. Zelsmann 4, M.A. Morris 2,3 and C.M. Sotomayor Torres 1,5, 1 UAB, Spain, 2 Tyndall Natl. Inst. UCC and 3 Trinity Coll. Dublin, Ireland, 4 CNRS, France and 5 ICREA, Spain E.-S. Kim and W.-J. Kim, Gachon Univ., Korea
1A-5-2 1B-5-2 1C-5-2 1D-5-2
11:15 11:35 11:25 10:55
Resist materials for EUV lithography (Invited) P-type Sb2Te3 and n-type Bi2Te3 Films for Thermoelectric Modules deposited by Thermally-assisted Sputtering Method Combination of Circular PDMS Mold and Gasbag Roller to Replicate Continuous Anodic Aluminum Oxide Nano Structures by UV Resin on PC Film Degradation of Carbon Nanotube Emitters in High Electric Field
S. Tarutani, Fujifilm, Japan M. Mizoshiri, M. Mikami and K. Ozaki, AIST, Japan Y.-H. Lee, R.-H. Hong, K.-H. Lu and S.-Y. Yang, Natl. Taiwan Univ., Taiwan T. Emi, K. Kuroda, K. Hirahara and Y. Nakayama, Osaka Univ., Japan
1A-5-3 1B-5-3 1C-5-3 1D-5-3
11:45 11:55 11:45 11:15
Challenges of EB Writer for EUV Mask (Invited) Microfabrication of Super Absorbent Polymer by Nanoimprinting and Post Swelling Process Uniform Residual Layer Creation in UV Nanoimprint using Spin Coat Films for Sub-100nm Patterns with Various Pattern Densities Carbon Nanotube-based Memory with Atomic-Layer-Deposited Dielectrics
S. Yoshitake, NuFlare Technol., Japan T. Inaba, T. Kano and N. Miki, Keio Univ., Japan K. Suzuki 1,2,3, S.-W. Youn 2,3, Q. Wang 2,3, H. Hiroshima 2,3 and Y. Nishioka 1, 1 Nihon Univ., 2 AIST and 3 JST-CREST, Japan Y. Fujii, T. Kamimura, Y. Ohno, K. Maehashi, K. Inoue and K. Matsumoto, Osaka Univ., Japan
1A-5 Author's Interview: 12:15-12:25 1B-5-4 1C-5-4 1D-5-4
12:15 12:05 11:35
Evaluation of a Capacitive Sensor with a Gold Proof Mass toward Integrated CMOS-MEMS Accelerometers Fabrication of Nano and Micron Size Mixture Patterns by Edge Lithography Inkjet Printing of Aligned Carbon-nanotube Thin Films
D. Yamane 1, T. Matsushima 2, T. Konishi 2, G. Motohashi 1, H. Ito 1, N. Ishihara 1, H. Toshiyoshi 3, K. Machida 1,2 and K. Masu 1, 1 Tokyo Inst. of Technol., 2 NTT-AT and 3 Univ. of Tokyo, Japan H. Noma 1,2, J. Sakamoto 1, H. Kawata 1,2, M. Yasuda 1,2 and Y. Hirai 1,2, 1 Osaka Pref. Univ. and 2 JST-CREST, Japan Y. Takagi 1, Y. Nobusa 1, S. Gocho 1, K. Yanagi 2, H. Kataura 3 and T. Takenobu 1, 1 Waseda Univ., 2 Tokyo Metropolitan Univ. and 3 AIST, Japan
1B-5 Author's Interview: 15:10-15:20 1C-5 Author's Interview: 12:25-12:35 1D-5 Author's Interview: 11:55-12:05
Room P1 (Kaiou 4F) and Room P2 (Ginga 4F)
1P-7: 15:40-17:40 Poster Session I
Nanoimprint, Nanoprint and Rising Lithographyano
1P-7-68 1P-7-69 1P-7-70 1P-7-71
Development of Cleaning Process for UV Cured Resin Removal on Quartz Substrate for Nanoimprint Lithography Mechanical Properties of Biodegradable Polylactide Structures fabricated using Thermal Nanoimprint Impact of Residual Strain in an Organic Functional Martial during Thermal Nanoimprint Process Optical Evaluation of Photoinduced Liquid Crystalline Polymer Pattern fabricated by Thermal Nanoimprinting with Linearly Polarized UV Irradiation
M.-S. Kim 1, B.-K. Kang 1, J.-S. Lim 1, J.-H. Jeong 1, J.-K. Kim 2, B.-K. Lee 2 and J.-G. Park 1, 1 Hanyang Univ. and 2 Samsung Electronics, Korea P.-T. Teng, F.-Y. Chang, T.-H. Tsai, Taiwan Univ. of Sci. and Technol., Taiwan T. Shiotsu, N. Nishikura, M. Yasuda, H. Kawata and Y. Hirai, Osaka Pref. Univ., Japan M. Okada 1, E. Nishioka 1, M. Kondo 1, Y. Haruyama1, T. Sasaki 2, H. Ono 2, N. Kawatsuki 1 and S. Matsui 1, 1 Univ. of Hyogo and 2 Nagaoka Univ. of Technol., Japan
1P-7-72 1P-7-73 1P-7-74 1P-7-75
A Study of the Roller Imprinting Technology applied to Patterned Sapphire Substrate Ultraviolet-curable Resins comprising a Diacrylate Monomer Hardly Absorbing Condensable Pentafluoropropane (PFP) Gas to achieve High Throughput in Ultraviolet Nanoimprinting using PFP Selective Grating Fabrication on Ti-diffused Waveguides in LiNbO3 by Imprint Lithography Enhanced Light Extraction from Red Phosphor Films via Nano-imprint Lithography using Zirconia Nanoparticles
S.-C. Yeh 1, Y.-Y. Chou 1, Y.-C. Lee 1, P.-J. Tsai 2, J.-W. Pan 2, H.-M. Chou 3, C.-H. Hou 3, Y.-Y. Chang 4, M.-S. Chu 4, M.-L. Hu 4 and C.-H. Ho 4, 1 Chung Yuan Christian Univ., 2 Natl. Chiao Tung Univ., 3 Lextar Electronics and 4 Procrystal Technol., Taiwan S. Kaneko 1, C.Y. Min 1 and M. Nakagawa 1,2, 1 Tohoku Univ. and 2 JST-CREST, Japan M. Nakao, S. Nakajima, S. Shinada and T. Kawanishi, Natl. Inst. of Information and Communications Technol., Japan K.-K. Kim 1, E.-J. Her 1, K.-Y. Ko 2, T.H. Won 2 and J. Ahn 1, 1 Hanyang Univ. and 2 Korea Inst. of Patent Information, Korea
1P-7-76 1P-7-77 1P-7-78 1P-7-79
The Gasbag-assisted Side-emitting UV-curing Imprinting Process for Large-area Replication of Microstructures Computational Study on Molecular Orientation of Polymers in Nanoimprint Lithography Thickness Dependency of Polymerization Efficiency on UV-nanoimprint Resins Low-temperature Firing of Ag-Cu Nanoparticles during Direct Nanoimprinting
Y.-Y. Huang, C.-H. Yang, N.-W. Chang and S.-Y. Yang, Natl. Taiwan Univ., Taiwan R. Takai 1, M. Yasuda 1, K. Tada 2, H. Kawata 1 and Y. Hirai 1, 1 Osaka Pref. Univ. and 2 Toyama Natl. College of Technol., Japan Y. Sawada 1,5, H. Miyake 2, T. Ohsaki 3, M. Okada 1,5, S. Iyoshi 1,5, Y. Haruyama 1,5, H. Hiroshima 4,5 and S. Matsui 1,5, 1 Univ. of Hyogo, 2 Daicel, 3 Toyo Gosei, 4 AIST and 5 JST-CREST, Japan H. Tambo, Y. Yokoyama and Y. Sakai, Toyama Industrial Technol. Center, Japan
Friday, November 2
Room P1 (Kaiou 4F) and Room P2 (Ginga 4F)
27P-11: 14:40-16:40 Poster Session II
Nanoimprint, Nanoprint and Rising Lithography
2P-11-75 2P-11-76 2P-11-77 2P-11-78
Fine Metal Mask Fabrication for Plasma Etching by Electroless Ni Plating Control of Resin Filling and Pattern Quality of Ultraviolet Nanoimprint Lithography by Pentafluoropropane and Helium Ambience Novel Hetero Structured Organic Photovoltaics (OPV) by Multi-layered Direct Nanoimprint Gold Nanoparticles Self-assembly assisted with Imprinted HSQ Pattern for SERS Measurement
T. Kitagawa 1, H. Kawata 1,2, M. Yasuda 1,2 and Y. Hirai 1,2, 1 Osaka Pref. Univ. and 2 JST-CREST, Japan S.-W. Youn 1,2, K. Suzuki 1,2, Q. Wang 1,2 and H. Hiroshima 1,2, 1 AIST and 2 JST-CREST, Japan K. Tomohiro 1, K. Tada 2, M. Ishikawa 2, H. Fujita 1, N. Nishikura 1, H. Kawata 1 and Y. Hirai 1, 1 Osaka Pref. Univ. and 2 Toyama Natl. College of Technol., Japan Y. Kang 1,2, R. Takahashi 1, T. Fukuoka 1, Y. Utsumi 1, M. Okada 1, Y. Haruyama 1 and S. Matsui 1, 1 Univ. of Hyogo and 2 JSPS, Japan
2P-11-79 2P-11-80 2P-11-81 2P-11-82
Evaluation of Interaction Force between UV Resin and F-SAMs Direct Metal Imprinted Nanostructures with Antireflective and Enhanced Transmittance Large Area Flatness Measurement and Optimization for Step and Repeat UV Nanoimprinting Fabrication of Highly Ordered Nanoimpint Stamp using Nanosphere Lithography with Guide Line Pattern
by Dynamic Contact Angle Measurement in PFP Gas Ambient S.-G. Sung, J.-H. Lee, J.-H. Jeong, E.-S. Lee and J.-H. Choi, Korea Inst. of Machinery & Materials, Korea K. Ishibashi 1,2, H. Goto 2, J. Mizuno 1 and S. Shoji 1, 1 Waseda Univ. and 2 Toshiba-Machince, Japan S.K. Lim 1, S.H. Nasasw 1, E.M. Park 1, J.S. Kim 1, S.H. Ra 2 and S.J. Suh 1, 1 Sungkyunkwan Univ. and 2 Samsung Electro-Mechanics, Korea
M. Chinen 1,3, Y. Kang 1,3,4, M. Okada 1,3, Y. Haruyama 1,3, H. Hiroshima 2,3 and S. Matsui 1,3, 1 Univ. of Hyogo, 2 AIST, 3 JST-CREST and 4 JSPS, Japan
2P-11-83 2P-11-84 2P-11-85 2P-11-86
Evaluation of De-molding Energy for Various Molds and De-molding Modes Impacts of Side Wall Profile on Demolding Force for Imprint Process Resolution Limits of Fluorescent Nanoimprinted Patterns on Fluorescence Microscopic Observation Improvement of Un-filling Defect in Low-pressure Thermal Nanoinprint using hydrofluoroether
T. Nishino 1,2, N. Fujikawa 1,2, H. Kawata 1,2 and Y. Hirai 1,2, 1 Osaka Pref. Univ. and 2 JST-CREST, Japan H. Kawata 1,2, H. Noma 1,2, N. Fujikawa 1,2, H. Okuno 1, M. Yasuda 1,2 and Y. Hirai 1,2, 1 Osaka Pref. Univ. and 2 JST-CREST, Japan S. Kubo 1, T. Tomioka 1 and M. Nakagawa 1,2, 1 Tohoku Univ. and 2 JST-CREST, Japan H. Mekaru and H. Hiroshima, AIST, Japan